• Welcome to ลงประกาศฟรี โพสฟรี โปรโมทเว็บไซด์ให้ติดอันดับ SEO ด้วย PBN.
 

poker online

ปูนปั้น

อินเทลโชว์ RibbonFET คู่ PowerVia สถาปัตยกรรมทรานซิสเตอร์ใหมในรอบ 10 ปี

Started by Shopd2, July 28, 2021, 11:23:59 PM

Previous topic - Next topic

Shopd2




อินเทลเปิดแผนกลยุทธ์นวัตกรรมด้านกระบวนการผลิตและบรรจุภัณฑ์ โชว์ชัด "RibbonFET" สถาปัตยกรรมทรานซิสเตอร์ตัวแรกของอินเทลในรอบทศวรรษ ยังมี "PowerVia" การจ่ายพลังงานแบบ backside power delivery รายแรกของอุตสาหกรรม มั่นใจคงตำแหน่งผู้นำต่อเนื่องด้านนวัตกรรมบรรจุภัณฑ์ขั้นสูงแบบ 3 มิติ

นายแพท เกลซิงเกอร์ ประธานกรรมการบริหารของอินเทล กล่าวว่าจากความเป็นผู้นำของอินเทลในด้านบรรจุภัณฑ์ บริษัทกำลังเร่งแผนงานนวัตกรรมเพื่อให้แน่ใจว่า ภายในปีพ.ศ. 2568 อินเทลจะยังคงเป็นผู้นำด้านประสิทธิภาพการประมวลผล

"นอกจากนี้ เราได้ใช้ประโยชน์จากนวัตกรรมที่ไม่มีใครเทียบได้ในการนำเสนอความก้าวหน้าทางเทคโนโลยีตั้งแต่ทรานซิสเตอร์ไปจนถึงระดับของระบบ เราจะพยายามอย่างไม่หยุดยั้งในการดำเนินงานตามหลักกฎของมัวร์ (Moore's Law) และเดินหน้าบนเส้นทางที่จะสร้างสรรค์นวัตกรรมด้วยพลังของซิลิคอน"

อินเทลมองว่าตั้งแต่ปี พ.ศ. 2540 อุตสาหกรรมการผลิตมีการยอมรับความจริงที่การตั้งชื่อกระบวนการผลิตชิปแบบนาโนเมตรนั้นไม่สามารถใช้กับหน่วยวัดแบบ Gate Length ได้ วันนี้ อินเทลจึงประกาศโครงสร้างสำหรับกระบวนการผลิตชิปรูปแบบใหม่ โดยสร้างกรอบในการทำงานที่ชัดเจนและสม่ำเสมอ เพื่อลูกค้าจะได้มีมุมมองที่ถูกต้องมากขึ้นเกี่ยวกับกระบวนการผลิตชิปที่ครอบคลุมในหลากหลายอุตสาหกรรม สิ่งนี้คือพื้นฐานสำคัญในการเปิดตัว Intel Foundry Services (IFS)

ซีอีโออินเทลย้ำว่านวัตกรรมที่ถูกเปิดตัวในครั้งนี้ นอกจากจะช่วยปรับแผนกลยุทธ์ด้านผลิตภัณฑ์ของอินเทลแล้ว ยังมีความสำคัญต่อลูกค้าของบริษัทอีกด้วย ทั้งนี้ IFS ได้รับความสนใจมากขึ้นเรื่อยๆ อินเทลจึงรู้สึกตื่นเต้นเป็นอย่างมากที่ได้ประกาศเปิดตัวลูกค้ารายใหญ่สองเจ้าแรกของบริษัท พร้อมประกาศว่า IFS นั้นเริ่มต้นออกสู่ตลาดเป็นที่เรียบร้อยแล้ว

อินเทลยังประกาศโหนดใหม่ และนวัตกรรมที่เปิดใช้งานสำหรับโหนดแต่ละชนิด หนึ่งในนั้นคือ Intel 7 ที่จะมอบประสิทธิภาพเพิ่มขึ้นประมาณ 10%-15% ต่อวัตต์ เมื่อเทียบกับ Intel 10nm SuperFin อ้างอิงจากการปรับแต่งทรานซิสเตอร์ FinFET โดย Intel 7 จะถูกใช้ในผลิตภัณฑ์ต่างๆ เช่น Alder Lake สำหรับลูกค้าในปี พ.ศ. 2564 และ Sapphire Rapids สำหรับดาต้าเซ็นเตอร์ ซึ่งคาดว่าจะเริ่มดำเนินการผลิตในช่วงไตรมาสแรกของปี พ.ศ. 2565

ขณะเดียวกัน Intel 4 จะใช้เทคโนโลยีกระบวนการพิมพ์ด้วยการฉายแสงอัลตราไวโอเลต (Extreme Ultraviolet Lithography) ในการพิมพ์รูปแบบขนาดเล็กด้วยแสงความยาวคลื่นสั้นพิเศษ โดย Intel 4 ซึ่งมากับประสิทธิภาพที่เพิ่มขึ้นประมาณ 20% ต่อวัตต์ พร้อมด้วยการปรับปรุงภายในพื้นที่ จะพร้อมผลิตในช่วงครึ่งหลังของปี พ.ศ. 2565 เพื่อเตรียมจัดส่งในปี พ.ศ. 2566 จะถูกรวมอยู่ในผลิตภัณฑ์ต่างๆ เช่น Meteor Lake สำหรับลูกค้า และ Granite Rapids สำหรับดาต้าเซ็นเตอร

ดร. แอน เคลเลอร์ รองประธานอาวุโสและผู้จัดการทั่วไปฝ่ายพัฒนาเทคโนโลยี บริษัท อินเทล
ดร. แอน เคลเลอร์ รองประธานอาวุโสและผู้จัดการทั่วไปฝ่ายพัฒนาเทคโนโลยี บริษัท อินเทล

ด้าน Intel 3 จะใช้ประโยชน์เพิ่มเติมจากการเพิ่มประสิทธิภาพ FinFET และเพิ่ม EUV ที่มีมากกว่า Intel 4 เพื่อให้มีประสิทธิภาพมากขึ้นประมาณ 18% ต่อวัตต์ พร้อมกับการปรับปรุงพื้นที่เพิ่มเติม โดย Intel 3 จะพร้อมผลิตในช่วงครึ่งหลังของปี พ.ศ. 2566

รวมถึง Intel 20A ที่เตรียมพร้อมเข้าสู่ยุคอังสตรอม (Angstrom) ด้วยเทคโนโลยีล้ำหน้า 2 ประเภท ได้แก่ RibbonFET และ PowerVia โดย RibbonFET เป็นการนำทรานซิสเตอร์ Gate All Around ของ Intel ไปใช้ ซึ่งจะเป็นสถาปัตยกรรมทรานซิสเตอร์ใหม่ตัวแรกของอินเทล นับตั้งแต่บริษัทฯ เริ่มคิดค้น FinFET ในปี พ.ศ. 2554 ซึ่งเทคโนโลยีนี้ช่วยให้การเปลี่ยนทรานซิสเตอร์ทำได้เร็วขึ้น โดยให้กระแส drive current เท่าเดิมแต่ใช้พลังงานน้อยลง ส่วน PowerVia โดยอินเทล เป็นการจ่ายพลังงานแบบ backside power delivery รายแรกของอุตสาหกรรมที่เพิ่มประสิทธิภาพการส่งสัญญาณโดยการขจัดความจำเป็นในการกำหนดเส้นทางพลังงานที่ด้านหน้าแผ่นวงจรเวเฟอร์ โดยคาดว่า Intel 20A จะได้รับความนิยมสูงขึ้นในปี พศ. 2567

"บริษัทฯ ยังรู้สึกตื่นเต้นกับโอกาสในการเป็นพันธมิตรกับ Qualcomm ที่จะเริ่มใช้เทคโนโลยี Intel 20A ด้วยเช่นกัน" อินเทลระบุ

สำหรับปีพ.ศ. 2568 และปีต่อๆ ไป อินเทลย้ำว่านอกเหนือจาก Intel 20A แล้ว Intel 18A ได้อยู่ในระหว่างการพัฒนาสำหรับช่วงต้นปีพ.ศ. 2568 พร้อมกับการปรับแต่ง RibbonFET ที่จะเพิ่มประสิทธิภาพของทรานซิสเตอร์ให้เพิ่มมากขึ้น โดยอินเทลกำลังเตรียมพร้อมในการกำหนด สร้าง และปรับใช้เครื่องมือ EUV รุ่นต่อไปที่เรียกว่า High Numerical Aperture EUV และคาดว่าจะได้รับเครื่องมือการผลิตเครื่องแรกในอุตสาหกรรม ทั้งนี้ อินเทลกำลังเป็นพันธมิตรอย่างใกล้ชิดกับ ASML เพื่อรับรองความสำเร็จของการพัฒนาอุตสาหกรรมดังกล่าวที่นอกเหนือไปจาก EUV รุ่นปัจจุบัน

ดร. แอน เคลเลอร์ รองประธานอาวุโสและผู้จัดการทั่วไปฝ่ายพัฒนาเทคโนโลยี กล่าวว่าอินเทลมีประวัติอันยาวนานในด้านนวัตกรรมกระบวนการขั้นพื้นฐานต่างๆ ที่ช่วยขับเคลื่อนอุตสาหกรรมให้ก้าวไปข้างหน้าได้อย่างก้าวกระโดด ซึ่งบริษัทได้เป็นผู้ริเริ่มการเปลี่ยนไปใช้ สเตรน ซิลิกอน (strained silicon) ขนาด 90 นาโนเมตร พร้อมด้วยเทคโนโลยี high-k metal gates ขนาด 45 นาโนเมตร และ FinFET ขนาด 22 นาโนเมตร

"นี่ถือเป็นอีกช่วงเวลาสำคัญด้านเทคโนโลยีการประมวลผลจาก Intel 20A ที่มาพร้อมกับ 2 นวัตกรรมสุดล้ำอย่าง RibbonFET และ PowerVia"

AWS ลูกค้ารายแรก

นอกจากชิป อินเทลย้ำว่าบรรจุภัณฑ์ก็เป็นสิ่งที่มีความสำคัญมากขึ้นในการตระหนักถึงประโยชน์ของกฎของมัวร์ (Moore's Law) ด้วยกลยุทธ์ IDM 2.0 ใหม่ของอินเทล อินเทลประกาศว่า Amazon Web Services (AWS) จะเป็นลูกค้ารายแรกที่ใช้โซลูชันบรรจุภัณฑ์ของ IFS พร้อมทั้งให้ข้อมูลเชิงลึกด้านแผนกลยุทธ์ของการบรรจุภัณฑ์ขั้นสูงและอุตสาหกรรมชั้นนำ

ที่สุดแล้ว อินเทลย้ำว่ามีแผนนำเทคโนโลยีการพิมพ์ด้วยการฉายแสงอัลตราไวโอเลต (EUV) รุ่นใหม่มาใช้ โดยใช้ชื่อเรียกว่า High Numerical Aperture (High NA) EUV ทั้งนี้ อินเทลนับเป็นเจ้าแรกในอุตสาหกรรมที่จะได้รับเครื่องมือการผลิต High NA EUV ด้วย.